Enum moore_svlog::hir::BuiltinCall [−][src]
pub enum BuiltinCall<'a> {
Unsupported,
Clog2(NodeId),
Bits(&'a TypeOrExpr<'a>),
Signed(NodeId),
Unsigned(NodeId),
CountOnes(&'a Expr<'a>),
OneHot(&'a Expr<'a>),
OneHot0(&'a Expr<'a>),
IsUnknown(&'a Expr<'a>),
ArrayDim(ArrayDim, &'a Expr<'a>, Option<&'a Expr<'a>>),
}
Expand description
The different builtin function calls that are supported.
Variants
An unsupported builtin. Will yield constant 0.
Clog2(NodeId)
A call to the ceil-log2 function $clog2(x)
.
Tuple Fields of Clog2
0: NodeId
Bits(&'a TypeOrExpr<'a>)
A call to the storage size function $bits(x)
.
Tuple Fields of Bits
0: &'a TypeOrExpr<'a>
Signed(NodeId)
A call to the convert-to-signed function $signed(x)
.
Tuple Fields of Signed
0: NodeId
Unsigned(NodeId)
A call to the convert-to-unsigned function $unsigned(x)
.
Tuple Fields of Unsigned
0: NodeId
CountOnes(&'a Expr<'a>)
A call to the $countones(x)
function.
Tuple Fields of CountOnes
0: &'a Expr<'a>
OneHot(&'a Expr<'a>)
A call to the $onehot(x)
function.
Tuple Fields of OneHot
0: &'a Expr<'a>
OneHot0(&'a Expr<'a>)
A call to the $onehot0(x)
function.
Tuple Fields of OneHot0
0: &'a Expr<'a>
IsUnknown(&'a Expr<'a>)
A call to the $isunknown(x)
function.
Tuple Fields of IsUnknown
0: &'a Expr<'a>
A call to one of the array dimension functions.
Trait Implementations
This method tests for self
and other
values to be equal, and is used
by ==
. Read more
This method tests for !=
.
Auto Trait Implementations
impl<'a> !RefUnwindSafe for BuiltinCall<'a>
impl<'a> Send for BuiltinCall<'a>
impl<'a> Sync for BuiltinCall<'a>
impl<'a> Unpin for BuiltinCall<'a>
impl<'a> !UnwindSafe for BuiltinCall<'a>
Blanket Implementations
Mutably borrows from an owned value. Read more